Publications

Filters: Author is Kozyrakis, Christos  [Clear All Filters]
A B C D E F G H I J K L M N O P Q R S T U V W X Y Z 
D
Delimitrou, C., N. Bambos, and C. Kozyrakis, ARQ: A Multi-Class Admission Control Protocol for Heterogeneous Datacenters, , Stanford, Stanford University, 01/2013.  Download: tech report (663.54 KB)
Delimitrou, C., S. Sankar, K. Vaid, and C. Kozyrakis, "Storage I/O Generation and Replay for Datacenter Applications", IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Austin, TX, 04/2011.  Download: paper (260.56 KB)
Delimitrou, C., and C. Kozyrakis, Bolt: Uncovering and Reducing the Security Vulnerabilities of Shared Clouds, : Cornell University & Stanford University, 04/2016.  Download: paper (2.1 MB)
Delimitrou, C., S. Sankar, K. Vaid, and C. Kozyrakis, "Decoupling Datacenter Studies from Access to Large-Scale Applications: A Modeling Approach for Storage Workloads", IEEE International Symposium on Workload Characterization (IISWC), Austin, TX, 11/2011.  Download: paper (3.25 MB); slides (2.07 MB)
Delimitrou, C., S. Sankar, K. Vaid, and C. Kozyrakis, "Decoupling Datacenter Storage Studies from Access to Large-Scale Applications", Computer Architecture Letters, vol. 11, issue 2, 2012.  Download: paper (947.88 KB)
Delimitrou, C., and C. Kozyrakis, "Security Implications of Data Mining in Cloud Scheduling", IEEE Computer Architecture Letters (CAL), 07/2015.  Download: paper (842.94 KB)
Delimitrou, C., and C. Kozyrakis, "Bolt: I Know What You Did Last Summer... In The Cloud", Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, New York, NY, USA, ACM, pp. 599–613, 2017.
G
Gao, M., J. Pu, X. Yang, M. Horowitz, and C. Kozyrakis, "TETRIS: Scalable and Efficient Neural Network Acceleration with 3D Memory", The 22nd ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Xi'an, China, 04/2017.  Download: paper (1.93 MB); slides (1.06 MB)
Gao, M., C. Delimitrou, D. Niu, K. T. Malladi, H. Zheng, B. Brennan, and C. Kozyrakis, "DRAF: A Low-Power DRAM-based Reconfigurable Acceleration Fabric", The 43rd International Symposium on Computer Architecture (ISCA), Seoul, South Korea, 06/2016.  Download: paper (1.02 MB); slides (876.98 KB)
Gao, M., G. Ayers, and C. Kozyrakis, "Practical Near-Data Processing for In-memory Analytics Frameworks", International Conference on Parallel Architectures and Compilation Techniques (PACT), San Francisco, CA, 10/2015.  Download: paper (433.09 KB); slides (838.72 KB)
Gao, M., C. Delimitrou, D. Niu, K. T. Malladi, H. Zheng, B. Brennan, and C. Kozyrakis, "DRAF: A Low-Power DRAM-Based Reconfigurable Acceleration Fabric", IEEE Micro Special Issue on Top Picks from the Computer Architecture Conferences, vol. 37, issue 3, 06/2017.  Download: paper (418.24 KB)
Gao, M., and C. Kozyrakis, "HRL: Efficient and Flexible Reconfigurable Logic for Near-Data Processing", 22nd IEEE Symposium on High Performance Computer Architecture (HPCA), Barcelona, Spain, 03/2016.  Download: paper (1.38 MB); slides (855.21 KB)
H
Hameed, R., W. Qadeer, M. Wachs, O. Azizi, A. Solomatnikov, B. C. Lee, S. Richardson, C. Kozyrakis, and M. Horowitz, "Understanding Sources of Inefficiency in General-purpose Chips", Proceedings of the 37th Annual International Symposium on Computer Architecture, New York, NY, USA, ACM, pp. 37–47, 2010.  Download: paper (455.9 KB)
Hameed, R., W. Qadeer, M. Wachs, O. Azizi, A. Solomatnikov, B. C. Lee, S. Richardson, C. Kozyrakis, and M. Horowitz, "Understanding Sources of Ineffciency in General-purpose Chips", Commun. ACM, vol. 54, no. 10, New York, NY, USA, ACM, pp. 85–93, 2011.  Download: paper (2.83 MB)
Hammond, L., V. Wong, M. Chen, B. D. Carlstrom, J. D. Davis, B. Hertzberg, M. K. Prabhu, H. Wijaya, C. Kozyrakis, and K. Olukotun, "Transactional Memory Coherence and Consistency", Proceedings of the 31st Annual International Symposium on Computer Architecture (ISCA), Munich, Germany, pp. 102–, 6/2004.
Hong, S., T. Oguntebi, J. Casper, N. Bronson, C. Kozyrakis, and K. Olukotun, "EigenBench: A Simple Exploration Tool for Orthogonal TM Characteristics", IEEE Intl. Symposium on Workload Characterization (IISWC), Atlanta, GA, 12/2010.  Download: paper (914.55 KB)
K
Kazandjieva, M. A., B. Heller, O. Gnawali, P. Levis, and C. Kozyrakis, "Green enterprise computing data: Assumptions and realities.", IGCC: IEEE Computer Society, pp. 1-10, 2012.  Download: paper (331.4 KB)
Klimovic, A., C. Kozyrakis, E. Thereska, B. John, and S. Kumar, "Flash Storage Disaggregation", Proceedings of the Eleventh European Conference on Computer Systems, London, UK, ACM, pp. 29:1–29:15, 2016.  Download: paper (1.52 MB)
Klimovic, A., H. Litz, and C. Kozyrakis, "ReFlex: Remote Flash == Local Flash", 22nd ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS) , Xi'an, China, 04/2017.  Download: paper (961.78 KB)
Koeplinger, D., R. Prabhakar, Y. Zhang, C. Delimitrou, C. Kozyrakis, and K. Olukotun, "Automatic Generation of Efficient Accelerators for Reconfigurable Hardware", The 43rd International Symposium on Computer Architecture (ISCA), Seoul, South Korea, 06/2016. Abstract  Download: paper (2.77 MB)
Kozyrakis, C., and D. Patterson, "Overcoming the limitations of conventional vector processors", Proceedings of the 30th Annual International Symposium on Computer Architecture (ISCA), San Diego, CA, pp. 399–409, 06/2003.
Kozyrakis, C., "Resource Efficienct Computing for Warehouse-scale Datacenters", Conference on Design Automation and Test in Europe (DATE), Grenoble, France, 03/2013.  Download: paper (332.62 KB)