Publications

Filters: Author is Kozyrakis, Christos  [Clear All Filters]
Conference Paper
Delimitrou, C., S. Sankar, K. Vaid, and C. Kozyrakis, "Accurate Modeling and Generation of Storage I/O for Datacenter Workloads", Exascale Evaluation and Research Techniques Workshop (EXERT), in conjunction with ASPLOS, Newport Beach, CA, 03/2011.  Download: paper (715.38 KB); slides (2.09 MB)
Njoroge, N., J. Casper, S. Wee, Y. Teslyar, D. Ge, C. Kozyrakis, and K. Olukotun, "ATLAS: a chip-multiprocessor with transactional memory support", Proceedings of the conference on Design, automation and test in Europe, San Jose, CA, USA, EDA Consortium, pp. 3–8, 2007.  Download: atlas_date_07.pdf (736.86 KB)
Delimitrou, C., and C. Kozyrakis, "Bolt: I Know What You Did Last Summer... In The Cloud", Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, New York, NY, USA, ACM, pp. 599–613, 2017.
Qadeer, W., R. Hameed, O. Shacham, P. Venkatesan, C. Kozyrakis, and M. A. Horowitz, "Convolution Engine: Balancing Efficiency &\#38; Flexibility in Specialized Computing", Proceedings of the 40th Annual International Symposium on Computer Architecture, New York, NY, USA, ACM, pp. 24–35, 2013.  Download: paper (4.78 MB)
Delimitrou, C., S. Sankar, K. Vaid, and C. Kozyrakis, "Decoupling Datacenter Studies from Access to Large-Scale Applications: A Modeling Approach for Storage Workloads", IEEE International Symposium on Workload Characterization (IISWC), Austin, TX, 11/2011.  Download: paper (3.25 MB); slides (2.07 MB)
Gao, M., C. Delimitrou, D. Niu, K. T. Malladi, H. Zheng, B. Brennan, and C. Kozyrakis, "DRAF: A Low-Power DRAM-based Reconfigurable Acceleration Fabric", The 43rd International Symposium on Computer Architecture (ISCA), Seoul, South Korea, 06/2016.  Download: paper (1.02 MB); slides (876.98 KB)
Belay, A., A. Bittau, A. Mashtizadeh, D. Terei, D. Mazières, and C. Kozyrakis, "Dune: Safe User-level Access to Privileged CPU Features", Proceedings of the 10th USENIX Conference on Operating Systems Design and Implementation, Berkeley, CA, USA, USENIX Association, pp. 335–348, 2012.  Download: paper (251.6 KB)
Sanchez, D., D. Lo, R. Yoo, J. Sugerman, and C. Kozyrakis, "Dynamic Fine-Grain Scheduling of Pipeline Parallelism", Proceedings of the 20th Intl. Conference on Parallel Architecture and Compilation Techniques (PACT), Galveston Island, TX, 10/2011.  Download: PDF (336.92 KB)
Lo, D., and C. Kozyrakis, "Dynamic Management of TurboMode in Modern Multi-core Chips", 20th Intl. Symposium on High Performance Computer Architecture (HPCA), Orlando, FL, 02/2014.  Download: paper (738.87 KB); slides (1.71 MB)
Delimitrou, C., S. Sankar, A. Kansal, and C. Kozyrakis, "ECHO: Recreating Network Traffic Maps for Datacenters of Tens of Thousands of Servers", IEEE International Symposium on Workload Characterization (IISWC), San Diego, CA, 11/2012.  Download: paper (8.76 MB); slides (4.22 MB)
Hong, S., T. Oguntebi, J. Casper, N. Bronson, C. Kozyrakis, and K. Olukotun, "EigenBench: A Simple Exploration Tool for Orthogonal TM Characteristics", IEEE Intl. Symposium on Workload Characterization (IISWC), Atlanta, GA, 12/2010.  Download: paper (914.55 KB)
Baek, W., R. Yoo, and C. Kozyrakis, "Enhanced Concurrency Control with Transactional NACKs,", 8th ACM SIGPLAN Workshop on Transactional Computing (TRANSACT), Houston, TX, 03/2013, 2012.  Download: paper (203 KB)
Michelogiannakis, G., D. Sanchez, W. J. Dally, and C. Kozyrakis, "Evaluating Bufferless Flow Control for On-Chip Networks", Proceedings of the 4th ACM/IEEE international symposium on Networks-on-Chip (NOCS-2010), 05/2010.  Download: paper (158.51 KB); slides (897.85 KB)
Klimovic, A., C. Kozyrakis, E. Thereska, B. John, and S. Kumar, "Flash Storage Disaggregation", Proceedings of the Eleventh European Conference on Computer Systems, London, UK, ACM, pp. 29:1–29:15, 2016.  Download: paper (1.52 MB)
Sanchez, D., R. M. Yoo, and C. Kozyrakis, "Flexible Architectural Support for Fine-Grain Scheduling", Proceedings of the 15th international conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-XV), 03/2010.  Download: paper (433.69 KB); slides (354.52 KB)
Kazandjieva, M. A., B. Heller, O. Gnawali, P. Levis, and C. Kozyrakis, "Green enterprise computing data: Assumptions and realities.", IGCC: IEEE Computer Society, pp. 1-10, 2012.  Download: paper (331.4 KB)
Delimitrou, C., and C. Kozyrakis, "HCloud: Resource-Efficient Provisioning in Shared Cloud Systems", Twenty First International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Atlanta, GA, 04/2016.  Download: paper (2.04 MB)
Lo, D., L. Cheng, R. Govindaraju, P. Ranganathan, and C. Kozyrakis, "Heracles: Improving Resource Efficiency at Scale", International Symposium on Computer Architecture, Portland, Oregon, 06/2015.  Download: Paper (792.18 KB)
Tanaka, S., and C. Kozyrakis, "High Performance Hardware-Accelerated Flash Key-Value Store", the 2014 Non-volatile Memories Workshop (NVMW), San Diego, CA, 03/2014.
Gao, M., and C. Kozyrakis, "HRL: Efficient and Flexible Reconfigurable Logic for Near-Data Processing", 22nd IEEE Symposium on High Performance Computer Architecture (HPCA), Barcelona, Spain, 03/2016.  Download: paper (1.38 MB); slides (855.21 KB)
Delimitrou, C., and C. Kozyrakis, "iBench: Quantifying Interference for Datacenter Applications", IEEE International Symposium on Workload Characterization (IISWC), Portland, OR, 09/2013.  Download: paper (1.19 MB); slides (3.05 MB)
Baek, W., N. G. Bronson, C. Kozyrakis, and K. Olukotun, "Implementing and Evaluating a Model Checker for Transactional Memory Systems.", ICECCS: IEEE Computer Society, pp. 117-126, 2010.  Download: paper (286.35 KB)